Fixed commit 887b9eca023c94c26daf9607c7ac9b18172c634d
authorGianfranco Costamagna <costamagnagianfranco@yahoo.it>
Fri, 03 Jan 2014 16:24:49 +0100
changeset 9929 01609dac9d79
parent 9927 22bb4dfbfea8
child 9931 409d991a59e8
Fixed commit 887b9eca023c94c26daf9607c7ac9b18172c634d
hedgewars/avwrapper/avwrapper.c
--- a/hedgewars/avwrapper/avwrapper.c	Fri Jan 03 07:19:04 2014 -0800
+++ b/hedgewars/avwrapper/avwrapper.c	Fri Jan 03 16:24:49 2014 +0100
@@ -500,7 +500,7 @@
     {
         do
             ret = WriteFrame(NULL);
-        while (ret);
+        while (ret >= 0);
         if (ret < 0)
             return ret;
     }
@@ -509,7 +509,7 @@
     {
         ret = WriteAudioFrame();
     }
-    while(ret);
+    while(ret >= 0);
     if (ret < 0)
         return ret;